热门标签 | HotTags
当前位置:  开发笔记 > 后端 > 正文

搭建UVM验证环境之helloworld

搭建UVM验证环境历程(一)–helloworld1.确认linux虚拟机上已经安装了vcs,然后在home目录下创建验证环境的工作目录;

搭建UVM验证环境历程(一)–hello world
1.确认linux虚拟机上已经安装了vcs,然后在home目录下创建验证环境的工作目录;
2.在工作目录下,编写一个简单的Makefile文件如下:
在这里插入图片描述
在这里插入图片描述
其中:vcs代表使用的仿真器的名字;
full64代表使能支持64bit仿真器;
sverilog代表使能解析system verilog代码开关;
-ntb_opts uvm-1.1选择uvm的版本号;
-o simv代表输出的可执行文件的名字;
3.执行make run命令,效果如下图:
在这里插入图片描述


推荐阅读
author-avatar
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有