热门标签 | HotTags
当前位置:  开发笔记 > 编程语言 > 正文

初识QuartusII9.0(破解,半加器的仿真,综合:上)

由于在意大利期间,用的xilinx公司的ZYBO板子,相应的软件用ISE,SDK。回国买了altera公司的板子,自然也要学习国内较流行的

由于在意大利期间,用的xilinx公司的ZYBO板子,相应的软件用ISE,SDK。回国买了altera公司的板子,自然也要学习国内较流行的软件(TB大西瓜家,因此相关例程也是大部分引用他家)。Quartus 9.1软件下载后,安装是还挺顺利。我是windows8.1系统,破解是费了一些力气。首先下载Quartus_II_9.0破解器.exe,运行解压缩,找到sys_cpt.dll,根据电脑的位数,假设你本是32位,却安装了64位,软件会提示打不开有错误,所以其实若不清楚自己电脑的位数,可以试试的。将它放在Quartus安装路径下的bin文件下,路径为(D:\quartus\bin);打开Quartus软件,选择Tools工具栏下License Setup,查看地步Network Interface Card(NIC) ID,我的电脑上有三个地址,选择第一个就好了,把它复制代替License.dat里面的XXXXXX,例程里面说要把小写字母改为大写字母,最好把下边的一大串中文删除,我自己没有受到这方面的影响,替换后将License.dat保存,保存路径可以任选,依然是查看NIC ID的窗口,将License.dat加载进去,之后大概如下图,就破解成功了:)

image  

image

以半加器为例,半加器(Half adder)电路指的是对两个数据位相加,输出一个结果位为和进位。

数据输入a0被加数,数据输入b0加数,结果位s0,进位c1,其对应的真值表和逻辑电路图如下:

t013e991e36b12496b9

 

Altera 的 Cyclone® II FPGA 系列是低成本 90-nm 解决方案,它前所未有地提高了设计灵活
性,实现了高性能系统集成,关于它的特性,在综合仿真时,打算慢慢记载。以半加器为例,用该系列的EP2C5T144C8完成仿真综合。

1.创建工程:打开Quartus软件,创建halfadder project,之后第二页(File name什么的)保持不动就好,next,选择相应的器件,之后仍旧保持不动(此页面保持各个为None),依旧next,最后一页finishi.

image

image

2.图形输入:用QuartusII原理图输入设计法进行数字系统设计时,不需要硬件描叙语言,利用数字逻辑电路基本知识的基础上,利用软件提供的EDA(Electronic Design Automation)平台设计数字电路或系统。

2.1 选择File-New,选择 Block Diagram/Schemetic File打开图形编辑窗口,在图形中双击,弹出的对话框中,输入半加器所用的器件(异或门xor;二元与门and2;输入input;输出output);鼠标点击input,output,选择properties,输入A,B,C,S.

image

2.2文本输入方式:Quartus Ⅱ自带文本编辑器,用于程序设计输入。与图形输入类似,选择 Verilog HDL File 输入方式,即可打开文本编辑器

image

image

3.功能仿真:验证设计时序是否符合要求:工具有modelsim,Activehdl,NC-verilog/Vhdl,各厂家自带工具。

3.1.选择【File】--【New】选项,打开新建文件类型选择窗口
3.2.选择 Vector Waveform File(矢量波形文件) 打开波形仿真窗口,双击空白处,出现对话框(该对话框用来查找节点(Node Finder),加入节点(点击list),加入完成后OK,出现波形)。在波形左侧工具栏点击,带R标志,设置随机波形的周期,输入10,在ns前。
3.3.添加仿真测试信号,设置输入信号和仿真参数设置

image

image

image

转:https://www.cnblogs.com/Fun-with-FPGA/p/4694819.html



推荐阅读
  • 本文介绍了响应式页面的概念和实现方式,包括针对不同终端制作特定页面和制作一个页面适应不同终端的显示。分析了两种实现方式的优缺点,提出了选择方案的建议。同时,对于响应式页面的需求和背景进行了讨论,解释了为什么需要响应式页面。 ... [详细]
  • XML介绍与使用的概述及标签规则
    本文介绍了XML的基本概念和用途,包括XML的可扩展性和标签的自定义特性。同时还详细解释了XML标签的规则,包括标签的尖括号和合法标识符的组成,标签必须成对出现的原则以及特殊标签的使用方法。通过本文的阅读,读者可以对XML的基本知识有一个全面的了解。 ... [详细]
  • 本文介绍了Android中的assets目录和raw目录的共同点和区别,包括获取资源的方法、目录结构的限制以及列出资源的能力。同时,还解释了raw目录中资源文件生成的ID,并说明了这些目录的使用方法。 ... [详细]
  • 本文介绍了在Python3中如何使用选择文件对话框的格式打开和保存图片的方法。通过使用tkinter库中的filedialog模块的asksaveasfilename和askopenfilename函数,可以方便地选择要打开或保存的图片文件,并进行相关操作。具体的代码示例和操作步骤也被提供。 ... [详细]
  • 向QTextEdit拖放文件的方法及实现步骤
    本文介绍了在使用QTextEdit时如何实现拖放文件的功能,包括相关的方法和实现步骤。通过重写dragEnterEvent和dropEvent函数,并结合QMimeData和QUrl等类,可以轻松实现向QTextEdit拖放文件的功能。详细的代码实现和说明可以参考本文提供的示例代码。 ... [详细]
  • 本文讨论了在Windows 8上安装gvim中插件时出现的错误加载问题。作者将EasyMotion插件放在了正确的位置,但加载时却出现了错误。作者提供了下载链接和之前放置插件的位置,并列出了出现的错误信息。 ... [详细]
  • 本文详细介绍了Linux中进程控制块PCBtask_struct结构体的结构和作用,包括进程状态、进程号、待处理信号、进程地址空间、调度标志、锁深度、基本时间片、调度策略以及内存管理信息等方面的内容。阅读本文可以更加深入地了解Linux进程管理的原理和机制。 ... [详细]
  • Redis底层数据结构之压缩列表的介绍及实现原理
    本文介绍了Redis底层数据结构之压缩列表的概念、实现原理以及使用场景。压缩列表是Redis为了节约内存而开发的一种顺序数据结构,由特殊编码的连续内存块组成。文章详细解释了压缩列表的构成和各个属性的含义,以及如何通过指针来计算表尾节点的地址。压缩列表适用于列表键和哈希键中只包含少量小整数值和短字符串的情况。通过使用压缩列表,可以有效减少内存占用,提升Redis的性能。 ... [详细]
  • 第四章高阶函数(参数传递、高阶函数、lambda表达式)(python进阶)的讲解和应用
    本文主要讲解了第四章高阶函数(参数传递、高阶函数、lambda表达式)的相关知识,包括函数参数传递机制和赋值机制、引用传递的概念和应用、默认参数的定义和使用等内容。同时介绍了高阶函数和lambda表达式的概念,并给出了一些实例代码进行演示。对于想要进一步提升python编程能力的读者来说,本文将是一个不错的学习资料。 ... [详细]
  • 本文介绍了使用哈夫曼树实现文件压缩和解压的方法。首先对数据结构课程设计中的代码进行了分析,包括使用时间调用、常量定义和统计文件中各个字符时相关的结构体。然后讨论了哈夫曼树的实现原理和算法。最后介绍了文件压缩和解压的具体步骤,包括字符统计、构建哈夫曼树、生成编码表、编码和解码过程。通过实例演示了文件压缩和解压的效果。本文的内容对于理解哈夫曼树的实现原理和应用具有一定的参考价值。 ... [详细]
  • 在Docker中,将主机目录挂载到容器中作为volume使用时,常常会遇到文件权限问题。这是因为容器内外的UID不同所导致的。本文介绍了解决这个问题的方法,包括使用gosu和suexec工具以及在Dockerfile中配置volume的权限。通过这些方法,可以避免在使用Docker时出现无写权限的情况。 ... [详细]
  • YOLOv7基于自己的数据集从零构建模型完整训练、推理计算超详细教程
    本文介绍了关于人工智能、神经网络和深度学习的知识点,并提供了YOLOv7基于自己的数据集从零构建模型完整训练、推理计算的详细教程。文章还提到了郑州最低生活保障的话题。对于从事目标检测任务的人来说,YOLO是一个熟悉的模型。文章还提到了yolov4和yolov6的相关内容,以及选择模型的优化思路。 ... [详细]
  • 如何去除Win7快捷方式的箭头
    本文介绍了如何去除Win7快捷方式的箭头的方法,通过生成一个透明的ico图标并将其命名为Empty.ico,将图标复制到windows目录下,并导入注册表,即可去除箭头。这样做可以改善默认快捷方式的外观,提升桌面整洁度。 ... [详细]
  • 浏览器中的异常检测算法及其在深度学习中的应用
    本文介绍了在浏览器中进行异常检测的算法,包括统计学方法和机器学习方法,并探讨了异常检测在深度学习中的应用。异常检测在金融领域的信用卡欺诈、企业安全领域的非法入侵、IT运维中的设备维护时间点预测等方面具有广泛的应用。通过使用TensorFlow.js进行异常检测,可以实现对单变量和多变量异常的检测。统计学方法通过估计数据的分布概率来计算数据点的异常概率,而机器学习方法则通过训练数据来建立异常检测模型。 ... [详细]
  • 如何实现JDK版本的切换功能,解决开发环境冲突问题
    本文介绍了在开发过程中遇到JDK版本冲突的情况,以及如何通过修改环境变量实现JDK版本的切换功能,解决开发环境冲突的问题。通过合理的切换环境,可以更好地进行项目开发。同时,提醒读者注意不仅限于1.7和1.8版本的转换,还要适应不同项目和个人开发习惯的需求。 ... [详细]
author-avatar
QQweiqiang_850
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有