热门标签 | HotTags
当前位置:  开发笔记 > 编程语言 > 正文

计算机控制系统专题实验,西安交大自动化专业xxxx计算机控制专题实验.docx

文档介绍:计算机控制专题实验一、实验目的掌握计算机控制系统各个环节的设计以及系统的组成。通过实验使学生能够较熟练地设计计算机控制系统的各个环节和完整的系统࿰

文档介绍:

计算机控制专题实验

一、实验目的

掌握计算机控制系统各个环节的设计以及系统的组成。 通过实验使学生能够 较熟练地设计计算机控制系统的各个环节和完整的系统, 熟练掌握系统的调试和 控制器参数的整定方法。巩固和深化理论教学内容,培养学生的工程实践技能, 综合运用所学专业知识解决实际问题的能力。

二、实验设备

1.计算机一台

2 •数据采集卡PCI-9112卡一块,端子板LD-9188 —块及电缆

3.温度控制箱一套

4.WindowsXP 系统, Matlab 软件, ADLINK 软件包。 ( Labview 软件)

三、实验内容与要求

1 . 了解温度控制系统的构成,熟悉各环节的工作原理。掌握 MatlabGUI 设 计系统监控画面及编程方法。

2. 熟练应用 MatlabGUI 设计系统监控画面,要求监控画面中有: 1 )控制 系统名称“温度监控系统”与“设计人:XXX” , 2)显示实时温度控制曲线, 横坐标为时间单位秒,纵坐标为温度,范围为0~100C° ,加网格,3)数字显示 实时温度,与控制输出, 4)显示控制棒图,标记温度设定值,实时温度,控制 输出, 5)设定值温度与 PID 三个参数,并可以实时修改, 6)可选的优化方法, 7)有运行,停止,保存,结束等按钮功能。

3.设计编写采样、滤波、 PID 控制及优化算法等相关程序。

4.完成 PID 参数整定,调试出稳定的温度控制系统,观察并分析过程控制 的 PID 整定规律。保存最佳的整定参数与控制曲线图。

四、实验硬件与软件说明

1.数字采集卡:

数据采集卡PCI-9112插在主板上的PCI插槽中,包含数字信号与模拟信号两 种。模拟信号有两种模拟输入: 16路单端模拟输入( A0~A15 )和8路差分模拟输 入(AL0~AL7/AH0~AH7 ),2路模拟量输出(AO1和AO2)。引脚图见图1。

(For single-ended connection;

(For differential connection)

AfO

3

:N

Ai2

剤3

Ai4

A/5

Ai&

Ail

A.GND

A.GND

VREF

EdRet2

+12V

A.GND

D.GND

COU7V

&(f7?g

NG

+5V

jd-cl-oppp-op-cp-aQ 七 T-C

23.

X

31

AfS

Af9

AfW j\m

A112 皿3

AM

A.GND

AGND

A01 EstRefl

AO2

GA7EC

GATE

COUTl

MG

AiH)

AiHI

AiH2 阳円3 □申

A/H5 AiH&

AiHJ A.GND A.GND VREF EdRet2

+12V

A.GND D.GND COUTV ExtTrg

+5V

1

7

u

O

n

4

V* n

S

X

n

7

w

n

P

w

11

w

—o

a

13

W

id

-Q n

15

M

a

n

-Q

1C

1£.

A.GND

A.QND 佃

EsiRetl

AOS

GA7EC GATE court MG £xt

内容来自淘豆网www.taodocs.com转载请标明出处.



推荐阅读
  • Introduction(简介)Forbeingapowerfulobject-orientedprogramminglanguage,Cisuseda ... [详细]
  • 本文介绍了Python语言程序设计中文件和数据格式化的操作,包括使用np.savetext保存文本文件,对文本文件和二进制文件进行统一的操作步骤,以及使用Numpy模块进行数据可视化编程的指南。同时还提供了一些关于Python的测试题。 ... [详细]
  • 提升Python编程效率的十点建议
    本文介绍了提升Python编程效率的十点建议,包括不使用分号、选择合适的代码编辑器、遵循Python代码规范等。这些建议可以帮助开发者节省时间,提高编程效率。同时,还提供了相关参考链接供读者深入学习。 ... [详细]
  • 本文由编程笔记#小编为大家整理,主要介绍了logistic回归(线性和非线性)相关的知识,包括线性logistic回归的代码和数据集的分布情况。希望对你有一定的参考价值。 ... [详细]
  • CSS3选择器的使用方法详解,提高Web开发效率和精准度
    本文详细介绍了CSS3新增的选择器方法,包括属性选择器的使用。通过CSS3选择器,可以提高Web开发的效率和精准度,使得查找元素更加方便和快捷。同时,本文还对属性选择器的各种用法进行了详细解释,并给出了相应的代码示例。通过学习本文,读者可以更好地掌握CSS3选择器的使用方法,提升自己的Web开发能力。 ... [详细]
  • 本文详细介绍了Java中vector的使用方法和相关知识,包括vector类的功能、构造方法和使用注意事项。通过使用vector类,可以方便地实现动态数组的功能,并且可以随意插入不同类型的对象,进行查找、插入和删除操作。这篇文章对于需要频繁进行查找、插入和删除操作的情况下,使用vector类是一个很好的选择。 ... [详细]
  • Vue基础一、什么是Vue1.1概念Vue(读音vjuː,类似于view)是一套用于构建用户界面的渐进式JavaScript框架,与其它大型框架不 ... [详细]
  • [转载]从零开始学习OpenGL ES之四 – 光效
    继续我们的iPhoneOpenGLES之旅,我们将讨论光效。目前,我们没有加入任何光效。幸运的是,OpenGL在没有设置光效的情况下仍然可 ... [详细]
  • 本文分享了一个关于在C#中使用异步代码的问题,作者在控制台中运行时代码正常工作,但在Windows窗体中却无法正常工作。作者尝试搜索局域网上的主机,但在窗体中计数器没有减少。文章提供了相关的代码和解决思路。 ... [详细]
  • HDU 2372 El Dorado(DP)的最长上升子序列长度求解方法
    本文介绍了解决HDU 2372 El Dorado问题的一种动态规划方法,通过循环k的方式求解最长上升子序列的长度。具体实现过程包括初始化dp数组、读取数列、计算最长上升子序列长度等步骤。 ... [详细]
  • 本文介绍了C#中生成随机数的三种方法,并分析了其中存在的问题。首先介绍了使用Random类生成随机数的默认方法,但在高并发情况下可能会出现重复的情况。接着通过循环生成了一系列随机数,进一步突显了这个问题。文章指出,随机数生成在任何编程语言中都是必备的功能,但Random类生成的随机数并不可靠。最后,提出了需要寻找其他可靠的随机数生成方法的建议。 ... [详细]
  • [译]技术公司十年经验的职场生涯回顾
    本文是一位在技术公司工作十年的职场人士对自己职业生涯的总结回顾。她的职业规划与众不同,令人深思又有趣。其中涉及到的内容有机器学习、创新创业以及引用了女性主义者在TED演讲中的部分讲义。文章表达了对职业生涯的愿望和希望,认为人类有能力不断改善自己。 ... [详细]
  • 本文介绍了如何在方法参数中指定一个对象的协议,以及如何调用符合该协议的方法。以一个具体的示例说明了如何在方法参数中指定一个UIView子类对象,并且该对象需要符合PixelUI协议,同时方法需要能够访问该对象的属性。 ... [详细]
  • IjustinheritedsomewebpageswhichusesMooTools.IneverusedMooTools.NowIneedtoaddsomef ... [详细]
  • Whatsthedifferencebetweento_aandto_ary?to_a和to_ary有什么区别? ... [详细]
author-avatar
mobiledu2502886691
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有